Welcome![Sign In][Sign Up]
Location:
Search - Verilog DSP

Search list

[VHDL-FPGA-Verilogtwo_d_dct_serial

Description: altera公司提供的适用于包涵DSP内核的FPGA的二维DCT变换源码,语言是:verilog 性能不错,不过资源消耗有点大,可以用来学习多项式变换的DCT算法-ALTERA companies covered in the application of FPGA DSP core 2D DCT source language is : Verilog performance is good, but a bit large consumption of resources can be used to transform learning polynomial algorithm DCT
Platform: | Size: 24576 | Author: 猪猪 | Hits:

[DSP programTMS320C54x DSP 的cpu和外围设备

Description: 针对在FPGA中实现FIR滤波器的关键--乘法运算的高效实现进行了研究,给了了将乘法化为查表的DA算法,并采用这一算法设计了FIR滤波器。通过FPGA仿零点验证,证明了这一方法是可行和高效的,其实现的滤波器的性能优于用DSP和传统方法实现FIR滤波器。最后介绍整数的CSD表示和还处于研究阶段的根据FPGA实现的要求改进的最优表示。-view of the FPGA FIR filters achieve the key-- the multiplication Efficient Implementation of research, to the multiplication of the DA into Lookup algorithm, and using the algorithm design of the FIR filter. FPGA through imitation 0.1 certification proves that the method is feasible and efficient, achieve superior filter performance DSP and traditional FIR filter method. Finally, integral and said the CSD is still in the research stage on the basis of FPGA requirements of the optimal said.
Platform: | Size: 1424384 | Author: 呈一 | Hits:

[VHDL-FPGA-VerilogLED47DISP

Description: 4-7segment led display Verilog code. Implemented at Stratix EP1S25 DSP development board.-4-7segment led display Verilog code. Impl emented at Stratix EP1S25 DSP development boar d.
Platform: | Size: 2048 | Author: iamz | Hits:

[VHDL-FPGA-Verilog2C35F672_FFT

Description: 在Altera芯片2C35F672平台上的FFT程序,采用DSPBuilder5.0,生成Verilog文件。开发环境:QuartusII5.0。-In the Altera chip 2C35F672 platform FFT procedures DSPBuilder5.0, generated Verilog file. Development Environment: QuartusII5.0.
Platform: | Size: 474112 | Author: lovenevol | Hits:

[VHDL-FPGA-VerilogLattice_Verilog

Description: 本文讨论了AR模型及线性预测的原理,在浮点型DSP TMS320C6713B上实现了语音信号线性预测系数(LPC)的提取,并利用LPC系数用Verilog语言实现了AR模型的Lattice结构。-This article discusses the AR model and the principle of linear prediction, in the floating-point DSP TMS320C6713B realize the voice signal on the linear prediction coefficient (LPC) of the extract, and the use of LPC coefficients using Verilog languages realize the AR model Lattice structure.
Platform: | Size: 14336 | Author: 万金油 | Hits:

[VHDL-FPGA-VerilogTun2CNk2

Description: FPGA实现DSP的Verilog 示例-FPGA realization of DSP-Verilog Example
Platform: | Size: 331776 | Author: wanghua | Hits:

[Software EngineeringCode

Description: DSP学习板上的例子程序包括 AD转换 CAN总线 SPI SCI -Examples of on-board DSP learning process includes the AD conversion CAN Bus SPI SCI
Platform: | Size: 1227776 | Author: qwe | Hits:

[VHDL-FPGA-Verilogverilog_cookbook

Description: 本電子檔為 verilog cookbook,包含了通訊,影像,DSP等重要常用之verilog編碼,可作為工程師與初學者的參考手冊-The electronic file for verilog cookbook, includes communications, imaging, DSP and other important commonly used Verilog coding, can be used as engineer with the reference manual for beginners
Platform: | Size: 2847744 | Author: siman,Chen | Hits:

[VHDL-FPGA-VerilogDSP

Description: 从算法设计到硬线逻辑的实现:复杂数字逻辑系统的Verilog HDL设计技术和方法,结合DSP算法介绍verilog HdL 设计。-From algorithm design to achieve hard-wired logic: complex digital logic system Verilog HDL design techniques and methods, combined with DSP algorithm design verilog HdL introduced.
Platform: | Size: 3232768 | Author: 李立 | Hits:

[VHDL-FPGA-VerilogFIFO

Description: it is a verilog code written for FIFO in modelsim simulator and it will synthesize in xinlix ise 8.2i.i have tested it om my kit.[i mae my own kit for spartan2 device].you can use this code in any DSP project in which data entry is required.-it is a verilog code written for FIFO in modelsim simulator and it will synthesize in xinlix ise 8.2i.i have tested it om my kit.[i mae my own kit for spartan2 device].you can use this code in any DSP project in which data entry is required.
Platform: | Size: 31744 | Author: yasir ateeq | Hits:

[VHDL-FPGA-Verilogc54x_verilog

Description: TI 的TMS320C54X的DSP的芯片软核verilog源代码,可以帮助初学者深入了解该系列DSP片内资源核结构,值得参考!-TMS320C54X of TI' s DSP chip soft-core verilog source code, can help beginners a better picture of the family of DSP-chip resources, nuclear structure, it is also useful!
Platform: | Size: 22528 | Author: Jackson | Hits:

[VHDL-FPGA-VerilogXil3SD1800A_MIG_simplifiedUI_vlog_v92

Description: verilog 实现的spartan 3A dsp start kit DDR2 SDRAM 控制器-verilog achieved spartan 3A dsp start kit DDR2 SDRAM controller
Platform: | Size: 908288 | Author: ma yirong | Hits:

[source in ebookverilog

Description: 数字信号处理的FPGA实现(Uwe Meyer-Baese)书中例子的Verilog代码-FPGA implementation of digital signal processing (Uwe Meyer-Baese) book example of Verilog code for
Platform: | Size: 330752 | Author: lin | Hits:

[VHDL-FPGA-VerilogFPFA-DSP

Description: FPGA可以实现DSP算法,本材料提供了详细的实现方法,对原理与实现给出清晰的思路,是FPGA开发参考的好资料。-FPGA can implement DSP algorithms, this material provides a detailed implementation methods, theory and implementation gives a clear idea is a good reference information on FPGA development.
Platform: | Size: 3403776 | Author: 老五 | Hits:

[Program docDSP--base--on-FPGA

Description: 这是一本国外的经典教材,讲述了现阶段所有数字信号处理的FPGA实现,从第二章讲述二进制的算法到现阶段数字信号处理的研究热点,基于FPGA实现!包括FIR,自适应滤波,纠错码,调制解调,加密,傅立叶变换等等。更难能可贵的是每个例子都有VHDL和Verilog代码-This is a classic foreign materials, described at this stage all the digital signal processing FPGA, from the second chapter about the binary digital signal processing algorithms to the current stage of research focus, based on FPGA implementation! Including FIR, adaptive filtering, error-correcting codes, modulation and demodulation, encryption, Fourier transform and so on. Even more valuable is that each case has a VHDL and Verilog code! !
Platform: | Size: 7067648 | Author: 刘伟 | Hits:

[VHDL-FPGA-Verilogadc_spi

Description: dsp通过SPI接口数据采集 sigma-delta ADC采集程序-dsp through the SPI interface, data acquisition sigma-delta ADC acquisition program
Platform: | Size: 8192 | Author: xingtian | Hits:

[Otherverilog

Description: 引入了Verilog HDL 硬件描述语言,向读者展示一种九十年代才真正开始在美国等先进的工业国家逐步推广的 数字逻辑系统的设计方法。借助于这种方法,在电路设计自动化仿真和综合工具的帮助下, 我们完全有能力设计并制造出有自己知识产权的DSP(数字信号处理)类和任何复杂的数 字逻辑集成电路芯片,为我国的电子工业和国防现代化作出应有的贡献。-The introduction of the Verilog HDL hardware description language, to show the reader a kind of nineties really began in the United States and other advanced industrial countries to gradually extend the digital logic system design. With this method, simulation and integrated circuit design automation tools help, we are fully capable to design and create their own intellectual property rights of DSP (digital signal processing) and any complex digital logic integrated circuit chip, for our electronic industry and make due contributions to national defense modernization.
Platform: | Size: 2217984 | Author: da liu | Hits:

[VHDL-FPGA-VerilogFPGA

Description: FPGA应用开发入门与典型实例 代码 FPGA(现场可编程逻辑器件)以其体积小、功耗低、稳定性高等优点被广泛应用于各类电子产品的设计中。本书全面讲解了FPGA系统设计的背景知识、硬件电路设计,硬件描述语言Verilog HDL的基本语法和常用语句,FPGA的开发工具软件的使用,基于FPGA的软核嵌入式系统,FPGA设计的基本原则、技巧、IP核, FPGA在接口设计领域的典型应用,FPGA+DSP的系统设计与调试,以及数字变焦系统和PCI数据采集系统这两个完整的系统设计案例。 -FPGA Application Development and Typical examples of code for FPGA (field programmable logic device) for its small size, low power consumption, high stability, the advantages are widely used in the design of electronic products. This book comprehensively explained the background FPGA system design, hardware design, hardware description language Verilog HDL syntax and basic common statement, FPGA use of the software development tools, FPGA-based soft-core embedded systems, FPGA design of the basic principles , skills, IP core, FPGA interface design field in a typical application, FPGA+ DSP system design and debug, and digital zoom systems and PCI data acquisition system design of two cases of complete system.
Platform: | Size: 10980352 | Author: 海到无涯 | Hits:

[VHDL-FPGA-Verilogi2c

Description: fpga verilog I2c 和 用以DSP mcbsp程序,测试过了-fpga verilog I2c and for the DSP mcbsp procedures, tested the
Platform: | Size: 4096 | Author: 范鑫 | Hits:

[CommunicationTI 的TMS320C54X的DSP的芯片软核verilog源代码c54x_verilog

Description: TI 的TMS320C54X的DSP的芯片软核verilog源代码c54x_verilog
Platform: | Size: 22987 | Author: iwqtthf | Hits:
« 12 3 4 5 »

CodeBus www.codebus.net